ONTO INNOVATION INC.


Associated tags: Innovation, Onto Innovation, UN, 3D, Semiconductor, Hardware, NYSE, Nanotechnology, Manufacturing, Surjective function

Locations: ATLAS, TAIWAN, CHICAGO, NEW YORK, ILLINOIS, UNITED STATES, NORTH AMERICA, MASSACHUSETTS, CALIFORNIA

Onto Announces New EB40 All-Surface Inspection Module for Wafer Fabs and Advanced Packaging

Retrieved on: 
Thursday, June 9, 2022

Together, the system and module offer all-surface wafer inspection to address the yield loss caused by defects on the wafer edge and backside across front-end and back-end processes.

Key Points: 
  • Together, the system and module offer all-surface wafer inspection to address the yield loss caused by defects on the wafer edge and backside across front-end and back-end processes.
  • All-surface inspection is becoming critical for quality assurance at foundries and IDMs producing advanced packages.
  • Thats where the 30% greater sensitivity and twice the throughput of the integrated Dragonfly G3 system and EB40 module come in.
  • He continued, Early inspection of the wafer edge is especially critical since small edge cracks can potentially propagate into the die near the wafer perimeter.

Onto Innovation to Participate in Three Upcoming Investor Conferences

Retrieved on: 
Wednesday, May 18, 2022

Cowens 50th Annual Technology, Media & Telecom Conference: The Onto management team will participate in the conference at the Lotte New York Palace in New York City on June 1, 2022.

Key Points: 
  • Cowens 50th Annual Technology, Media & Telecom Conference: The Onto management team will participate in the conference at the Lotte New York Palace in New York City on June 1, 2022.
  • Stifels 2022 Cross Sector Insight Conference: The Onto management team will participate in the conference at the InterContinental Boston Hotel in Boston, Massachusetts on June 9, 2022.
  • Onto Innovation strives to optimize customers critical path of progress by making them smarter, faster and more efficient.
  • Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.

Onto Innovation Schedules 2022 First Quarter Financial Results Conference Call for May 3, 2022

Retrieved on: 
Wednesday, April 13, 2022

Onto Innovation Inc. (NYSE: ONTO) will release its 2022 first quarter financial results after the market closes on Tuesday, May 3, 2022.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) will release its 2022 first quarter financial results after the market closes on Tuesday, May 3, 2022.
  • Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet.
  • Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call.
  • To access the replay, please dial (888) 203-1112 and reference conference ID 9289193 at any time during that period.

Onto Innovation Welcomes May Su to Its Board of Directors

Retrieved on: 
Monday, March 21, 2022

Onto Innovation Inc. (NYSE: ONTO) (Onto Innovation, Onto, or the Company) today announced May Su has joined its board of directors.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) (Onto Innovation, Onto, or the Company) today announced May Su has joined its board of directors.
  • With over 35 years of leadership experience within the semiconductor capital equipment industry, May Su brings a strong background in strategy and strategic marketing to Onto Innovations board of directors.
  • May will bring significant international experience to the Onto Innovation board of directors.
  • We believe her broad technology experience and knowledge of our global customer base will bring applicable knowledge and insights to our board, said Christopher Seams, chairman of Onto Innovations board of directors.

Onto Innovation Schedules 2021 Fourth Quarter and Full Year Financial Results Conference Call for February 8, 2022

Retrieved on: 
Thursday, January 20, 2022

Onto Innovation Inc. (NYSE: ONTO) will release its 2021 fourth quarter and full year financial results after the market closes on Tuesday, February 8, 2022.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) will release its 2021 fourth quarter and full year financial results after the market closes on Tuesday, February 8, 2022.
  • Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet.
  • Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call.
  • To access the replay, please dial (888) 203-1112 and reference conference ID 8215502 at any time during that period.

Onto Innovation Announces Growing $500 Million Order Backlog, Including Solutions for Heterogeneous Packaging

Retrieved on: 
Thursday, February 10, 2022

The key to this market is the ability to increase interconnect density while simultaneously achieving high yields.

Key Points: 
  • The key to this market is the ability to increase interconnect density while simultaneously achieving high yields.
  • Onto Innovation also received over $12 million in orders for its Dragonfly G3 inspection system .
  • Hybrid bonding is a key element in the next-generation of heterogeneous packaging and allows for true 3D die-to-die stacking.
  • Onto Innovation does not assume any obligation to update the forward-looking information contained in this press release.

Onto Innovation to Participate in 24th Annual Needham Virtual Growth Conference

Retrieved on: 
Wednesday, January 5, 2022

Onto Innovation Inc. (NYSE: ONTO) today announced that Michael Plisinski, chief executive officer, and Steven Roth, chief financial officer, will participate in the upcoming 24th Annual Needham Growth Conference, being held virtually January 10 14, 2022.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) today announced that Michael Plisinski, chief executive officer, and Steven Roth, chief financial officer, will participate in the upcoming 24th Annual Needham Growth Conference, being held virtually January 10 14, 2022.
  • Onto Innovations management will be available for one-on-one meetings during the conference on both January 12 and 13, 2022.
  • Onto Innovation strives to optimize our customers critical path of progress by making them smarter, faster and more efficient.
  • Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.

Onto Innovation Announces Customer Selection of Comprehensive Integrated Metrology Suite Resulting in Long-Term $85 Million Commitment for Shipments 2022-2023

Retrieved on: 
Tuesday, December 7, 2021

Onto Innovation provides a full suite of products across different phases for our customers product ramping stages.

Key Points: 
  • Onto Innovation provides a full suite of products across different phases for our customers product ramping stages.
  • In the early R&D phase, the Atlas product offers complete metrology functionality for all device layers.
  • Atlas and Iris products can provide backups for each other, and provide significant savings on customer investment, floor space, and engineering training.
  • With Onto Innovations metrology platform, customers have the ability to optimize their process control strategy for cost and performance.

Onto Innovation Schedules 2021 Third Quarter Financial Results Conference Call for November 4, 2021

Retrieved on: 
Friday, October 8, 2021

Onto Innovation Inc. (NYSE: ONTO) will release its 2021 third quarter financial results after the market closes on Thursday, November 4, 2021.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) will release its 2021 third quarter financial results after the market closes on Thursday, November 4, 2021.
  • Onto Innovation will host a conference call in connection with its release of the financial results, which will be broadcast live over the internet.
  • Michael P. Plisinski, chief executive officer, and Steven R. Roth, chief financial officer, will host the call.
  • To access the replay, please dial (888) 203-1112 and reference conference ID 6712375 at any time during that period.

Onto Innovation Welcomes Karen Rogge to its Board of Directors

Retrieved on: 
Monday, September 13, 2021

Karen Rogge is a skilled financial and operational executive with a strategic mindset focused on transformational growth and optimizing operational performance.

Key Points: 
  • Karen Rogge is a skilled financial and operational executive with a strategic mindset focused on transformational growth and optimizing operational performance.
  • We are adding Karen to Ontos board to take advantage of her strong financial background and experience in organic and inorganic strategic growth initiatives.
  • We expect her broad technology experience across equipment and software will bring high-value skills and insights to our board, said Christopher Seams, chairman of Onto Innovations board of directors.
  • Ms. Rogge concluded, Onto Innovation is at an important inflection point within a strong industry as the company expands its served markets.