ONTO INNOVATION INC.


Associated tags: Innovation, Onto Innovation, UN, 3D, Semiconductor, Hardware, NYSE, Nanotechnology, Manufacturing, Surjective function

Locations: UNITED STATES, NORTH AMERICA, MASSACHUSETTS

Onto Innovation Reports 2024 First Quarter Results

Retrieved on: 
Thursday, May 9, 2024

For the second fiscal quarter ending June 29, 2024, the Company is providing the following guidance:

Key Points: 
  • For the second fiscal quarter ending June 29, 2024, the Company is providing the following guidance:
    Revenue is expected to be in the range of $230 to $240 million.
  • Onto Innovation will host a conference call at 4:30 p.m. Eastern Time today, May 9, 2024, to discuss its first quarter 2024 financial results and other matters in greater detail.
  • As the forward-looking statements are based on Onto Innovation’s current expectations, the Company cannot guarantee any related future results, levels of activity, performance, or achievements.
  • Onto Innovation does not assume any obligation to update the forward-looking information contained in this press release, except as required by law.

Onto Innovation Debuts Sub-surface Defect Inspection for Advanced Packaging

Retrieved on: 
Tuesday, April 23, 2024

Onto Innovation Inc. (NYSE: ONTO) today announced the release of a new sub-surface inspection capability for the Dragonfly® G3 sub-micron 2D/3D inspection and metrology platform .

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) today announced the release of a new sub-surface inspection capability for the Dragonfly® G3 sub-micron 2D/3D inspection and metrology platform .
  • The new capability enables whole wafer inspection for critical yield impacting defects that can lead to lost die as well as entire wafers breaking in subsequent process steps.
  • “Customers are demanding 100% inspection capability with production-worthy throughput,” says Mayson Brooks, vice president and general manager of Onto’s inspection business.
  • According to TechInsights, the market for inspection products supporting wafer level packaging (WLP) is forecast to grow from $400 million in 2024 to more than $600 million by 2028.

Onto Innovation to Report First Quarter 2024 Financial Results on May 9, 2024

Retrieved on: 
Tuesday, April 9, 2024

Onto Innovation Inc. (NYSE: ONTO) will release its 2024 first quarter results shortly after the market closes on May 9, 2024.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) will release its 2024 first quarter results shortly after the market closes on May 9, 2024.
  • Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results.
  • Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call.
  • To listen to the live webcast, please go to the website at least 15 minutes early to register, download and install any necessary audio software.

Onto Innovation Announces Investor Relations Transition Plan

Retrieved on: 
Thursday, April 4, 2024

Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Sheaffer plans to retire from the Company in July this year.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Sheaffer plans to retire from the Company in July this year.
  • A successor search has now been successfully completed with Sidney Ho joining Onto Innovation as vice president of investor relations.
  • Mr. Sheaffer will remain fully engaged in the investor relations role and will be the primary investor contact point until Mr. Ho assumes that responsibility during the Company’s investor meetings at SEMICON® West in July 2024.
  • Mr. Sheaffer, 74, joined Rudolph Technologies in 2017 as senior director of investor relations and continued that role for Onto Innovation following the merger of Rudolph Technologies and Nanometrics.

Onto Innovation Welcomes Susan Lynch to its Board of Directors

Retrieved on: 
Monday, March 18, 2024

Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Susan Lynch has joined its board of directors.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation”, “Onto”, or the “Company”) today announced Susan Lynch has joined its board of directors.
  • With over 30 years of leadership experience in high technology companies, Susan Lynch brings a strong background in financial leadership to Onto Innovation’s board of directors.
  • Ms. Lynch has also served on the board of directors of Allegro MicroSystems since 2021.
  • “Susan brings significant executive leadership experience to the Onto Innovation board of directors.

Onto Innovation’s 4Di InSpec™ Automated Metrology System Receives 2024 Innovative System of the Year Award from FANUC America

Retrieved on: 
Monday, February 26, 2024

Onto Innovation Inc. (NYSE: ONTO) and its Tucson subsidiary 4D Technology today announced they’ve been named winner of FANUC America’s prestigious 2024 Innovative System of the Year award for the 4Di InSpec automated metrology system (AMS) .

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) and its Tucson subsidiary 4D Technology today announced they’ve been named winner of FANUC America’s prestigious 2024 Innovative System of the Year award for the 4Di InSpec automated metrology system (AMS) .
  • The system enables automated surface defect and feature metrology for aviation, aerospace and other applications in the industrial manufacturing market.
  • In partnership with OptiPro Systems , the 4Di InSpec AMS systems were delivered in the second half of 2023 to several leading aerospace engine manufacturers.
  • View the full release here: https://www.businesswire.com/news/home/20240226293034/en/
    Onto Innovation's 4Di InSpec automated metrology system uses patented, vibration-immune technology to provide automated surface defect and feature metrology for aviation, aerospace and other applications in the industrial manufacturing market.

Onto Innovation Reports 2023 Fourth Quarter and Full Year Results

Retrieved on: 
Thursday, February 8, 2024

Onto Innovation will host a conference call at 4:30 p.m. Eastern Time today, February 8, 2024, to discuss its fourth quarter and full year 2023 financial results and other matters in greater detail.

Key Points: 
  • Onto Innovation will host a conference call at 4:30 p.m. Eastern Time today, February 8, 2024, to discuss its fourth quarter and full year 2023 financial results and other matters in greater detail.
  • There will be a replay of the conference call available for one year on the Company’s website at www.ontoinnovation.com .
  • As the forward-looking statements are based on Onto Innovation’s current expectations, the Company cannot guarantee any related future results, levels of activity, performance or achievements.
  • Onto Innovation does not assume any obligation to update the forward-looking information contained in this press release, except as required by law.

Onto Innovation Debuts Firefly® G3 Panel-level Packaging Inspection System

Retrieved on: 
Tuesday, January 16, 2024

Onto Innovation Inc. (NYSE: ONTO) today announced the launch of the new Firefly® G3 inspection and metrology system for automated process control during high volume production of panel-level substrates.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) today announced the launch of the new Firefly® G3 inspection and metrology system for automated process control during high volume production of panel-level substrates.
  • The Firefly G3 system was shipped to a tier one customer supporting a variety of AI chiplet based panel-level packages with several additional customers expected to take delivery in the first half of 2024.
  • This next-generation Firefly system goes beyond 2D inspection and metrology by supporting additional process control steps through the introduction of 3D metrology sensors.
  • To learn more about Onto’s Firefly G3 system, contact us or reach out to your local sales team .

Onto Innovation to Report Fourth Quarter and Full Year 2023 Financial Results on February 8, 2024

Retrieved on: 
Friday, January 12, 2024

Onto Innovation Inc. (NYSE: ONTO) will release its 2023 fourth quarter and full year results shortly after the market closes on February 8, 2024.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) will release its 2023 fourth quarter and full year results shortly after the market closes on February 8, 2024.
  • Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results.
  • Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call.
  • To listen to the live webcast, please go to the website at least 15 minutes early to register, download and install any necessary audio software.

Onto Innovation to Participate in 26th Annual Needham Growth Conference

Retrieved on: 
Tuesday, December 19, 2023

Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will participate in the upcoming 26th Annual Needham Growth Conference, taking place at the Lotte New York Palace Hotel on January 17-18, 2024.

Key Points: 
  • Onto Innovation Inc. (NYSE: ONTO) (“Onto Innovation,” “Onto,” or the “Company”) today announced that Michael Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will participate in the upcoming 26th Annual Needham Growth Conference, taking place at the Lotte New York Palace Hotel on January 17-18, 2024.
  • Investors are invited to join the live webcast of the presentation, which can be accessed on the Company’s website at investors.ontoinnovation.com .
  • Onto Innovation will be accessible for one-on-one meetings on January 17 and 18.
  • To schedule a meeting, please contact your Needham salesperson.